当前位置: 查字典范文网 >> 最新电子eda课程心得(五篇)

最新电子eda课程心得(五篇)

格式:DOC 上传日期:2023-07-21 00:21:16
最新电子eda课程心得(五篇)
时间:2023-07-21 00:21:16     小编:zdfb

人的记忆力会随着岁月的流逝而衰退,写作可以弥补记忆的不足,将曾经的人生经历和感悟记录下来,也便于保存一份美好的回忆。范文书写有哪些要求呢?我们怎样才能写好一篇范文呢?这里我整理了一些优秀的范文,希望对大家有所帮助,下面我们就来了解一下吧。

电子eda课程心得篇一

自动打铃系统设计说明书

学 生 姓 名:周文江

号:14112502521

专 业 班 级:1102

报告提交日期:2013.11.26

湖 南 理 工 学 院 物 电 学 院

目录

一、题目及要求简介……………3 1.设计题目…………………3 2.总体要求简介……………3

二、设计方案说明……………3

三、系统采用器件以及模块说明………3 1.系统框图…………4 2.选择的fpga芯片及配置………4 3.系统端口和模块说明…………5

四、各部分仿真结果………5

五、调试及总结………6

六、参考文献……7

七、附录………7

一、题目及要求简介

1、设计题目

设计一个多功能自动打铃系统

2、总体要求简介

① 基本计时和显示功能(24小时制显示),包括:

1.24小时制显示 2.动态扫描显示; 3.显示格式:88-88-88 ② 能设置当前时间(含时、分)③ 能实现基本打铃功能,规定:

06:00起床铃,打铃5s

二、设计方案说明

本次设计主要采用verilog hdl硬件描述性语言、分模块法设计的自动打铃系统。由于这次用的开发板提供的是50m晶振。首先要对时钟进行分频,当计时到2fa_f07f时完成1s分频,通过计时到60s产生分钟进位信号,再通过60分钟产生时钟进位信号。最后通过6个寄存器对时分秒进行锁存最终输出到8个数码管上完成显示。当显示时钟和默认闹钟时钟相等时,驱动打铃模块。通过key_mode,key_turn,key_change查看闹钟,时钟显示,调整时钟。

三、系统采用器件以及模块说明

1.系统框图如下:

:下如图框统系

2.选择的fpga芯片及配置:本次系统设计采用的fpga芯片是alter公司生产的cyclone ii ep2c8q208c8。该芯片是208个管脚,138个io,并且具有两个内部pll,而且内嵌乘法器,8k的逻辑门,资源相当丰富。完成这次自动打铃系统的设计总共消耗250个le单元,22个io口,131个寄存器。经过综合后,本系统最高能实现145m的运行速度。通过quartus ii 软件观察到内部的rtl图如下

3.系统端口和模块说明

(1)分频部分

分频器的作用是对50mhz的系统时钟信号进行分频,得到频率为1hz的信号,即为1s的计时信号。

(2)按键部分

按键key_mode--0为显示计时,1为闹钟显示,2为调整时间。按键key_turn—0为调整小时,1为调整分钟。按键key_change—每按一次加1(3)计时部分

通过sec_l,sec_h,min_l,min_h,hour_l,hour_h 6个寄存器对时分秒进行锁存然后送入数码管显示

(4)闹钟模块

当设定的闹钟时间和数码管上显示的时间相等时驱动闹钟,完成打铃,持续时间5s。

(5)数码管显示模块

显示模块是由8个位选8个段选构成的显示模块,利用人眼的余晖效果完成动态扫描,显示时间。

四、各部分仿真结果

测试文件如下:

module clock_tb;reg sysclk,rst_b;reg key_mode,key_turn,key_change;wire buzzer;

wire [7:0] led_sel,led_data;clock i_clock(.sysclk(sysclk),.rst_b(rst_b),.key_mode(key_mode),.key_change(key_change),.key_turn(key_turn),.buzzer(buzzer),.led_sel(led_sel),.led_data(led_data));initial begin sysclk = 1'b1;rst_b = 1'b0;//复位信号

#30 rst_b = 1'b1;end always #10 sysclk = ~sysclk;//输入的系统时钟,20ns的周期 endmodule

五、调试及总结

本次课程设计总共花费了四天左右的时间,设计了自动打铃系统。通过这次的设计更加熟悉了对eda技术的了解和认识,在中也发现许多不足的地方。使用了自顶而下的设计方法,使得设计更加的简单和明了。在调试过程中,有些代码的设计不规范性,导致时序相当缓慢,甚至编译综合都会报错。在不断的修改下,发现时序电路和组合逻辑最好分开写,这样便于查错,和修改代码。毕竟verilog hdl语言不同于c语言,不能以软件的思想来设计,而是要利用电路的思想来编程,这样可以更好的节省资源,使得时序也比较的简单明了。在以后的学习及程序设计当中,我们一定要倍加小心,在程序出现不正常运行的情况下要耐心调试,尽量做到精益求精。

最后通过这次eda方面的课程设计,提高了我们对eda领域及通信电路设计领域的认识,有利于培养我们在通信电路eda方面的设计能力。有利于锻炼我们独立分析问题和解决问题的能力。

六、文献参考

[1].王金明、左自强 编,《eda技术与verilog设计》科学出版社

2008.8 [2].杜慧敏、李宥谋、赵全良 编,《基于verilog的fpga设计基础》 西安电子科技大学出版社 2006.2 [3].韩彬 编,《从零开始走进fpga世界》杭州无线电爱好者协会出版社 2011.8.20

七、附录(实物图及源码)

module clock(//input

sysclk,rst_b,key_mode,key_change,key_turn,//output

buzzer,led_sel,led_data);

input sysclk,rst_b;//sysclk--global system clock,rst_b--global reset signal input key_mode;//mode choose.0--timing function.1--alarm clock function.2--adjust function input key_turn;//choose adjust minute or hour input key_change;//count add 1 output buzzer;//device buzzer output [7:0] led_sel;//led tube bit choose

output [7:0] led_data;//led_tube 8 bit data choose

parameter init_hour = 8'h12;parameter init_min = 8'h59;parameter init_sec = 8'h50;//initial time :12:59:50 parameter init_alarm_hour = 8'h06;parameter init_alarm_min = 8'h30;//initial alarm time : 06:30:0 parameter count_1s = 28'h2fa_f07f;//count time 1s;

reg [7:0] sec;reg [7:0] min;reg [7:0] hour;reg [3:0] min_l;//minute low 4 bit reg [3:0] min_h;//minute high 4 bit reg [3:0] hour_l;//hour low 4 bit reg [3:0] hour_h;//hour high 4 bit reg [23:0] key_time;//press key away shake reg key_mode_n;//press key_mode next state reg key_change_n;//press key_change next state reg key_turn_n;//press key_turn next state wire key_mode_press;//sure button press key_mode wire key_turn_press;//sure button press key_turn wire key_change_press;//sure button press key_change

always @(posedge sysclk)key_mode_n <= key_mode;assign key_mode_press =(!key_mode)&&(key_mode_n);always @(posedge sysclk)key_turn_n <= key_turn;assign key_turn_press =(!key_turn)&&(key_turn_n);always @(posedge sysclk)key_change_n <= key_change;assign key_change_press =(!key_change)&&(key_change_n);

always @(posedge sysclk or negedge rst_b)begin if(!rst_b)key_time <= 24'h0;else if(key_time!= 24'h0)

key_time <= key_time + 24'h1;else if((key_time == 24'h0)&&(key_mode_press || key_change_press || key_turn_press))key_time <= key_time + 24'h1;

end

reg [1:0] mode_num;//key mode..0--timing function.1--alarm clock function.2--adjust function always @(posedge sysclk or negedge rst_b)begin if(!rst_b)mode_num <= 2'b00;else if(mode_num == 2'h3)mode_num <= 2'h0;else if(key_mode_press &&(key_time == 24'h0))

mode_num <= mode_num + 2'h1;end

always @(*)begin if(mode_num == 2'h1)begin

min = init_alarm_min;hour = init_alarm_hour;end else begin

min = {min_h,min_l};hour = {hour_h,hour_l};end end

reg fm;//choose turn hour or minute always @(posedge sysclk or negedge rst_b)begin if(!rst_b)fm <= 1'b0;else if(key_turn_press &&(mode_num == 2'h2)&&(key_time == 24'h0))

fm <= ~fm;end

reg [27:0] time_cnt;///count time reg [27:0] time_cnt_n;//count time next state always @(posedge sysclk or negedge rst_b)begin if(!rst_b)time_cnt <= 28'h0;else time_cnt <= time_cnt_n;end

always @(*)begin if(time_cnt == count_1s)time_cnt_n <= 28'h0;else if(mode_num!= 2'h0)time_cnt_n <= time_cnt;else time_cnt_n <= time_cnt + 28'h1;end

reg [3:0] sec_l;//second low 4 bit reg [3:0] sec_h;//second high 4 bit wire sec_cb;//second carry bit signal assign sec_cb =(sec_l == 4'h9)&&(sec_h == 4'h5);always @(posedge sysclk or negedge rst_b)begin if(!rst_b)begin

sec_l <= init_sec[3:0];sec_h <= init_sec[7:4];end else if((sec_l == 4'h9)&&(sec_h!= 4'h5)&&(time_cnt == count_1s))begin

sec_l <= 4'h0;sec_h <= sec_h + 4'h1;end else if(sec_cb &&(time_cnt == count_1s))begin

sec_l <= 4'h0;sec_h <= 4'h0;end else if(time_cnt == count_1s)

sec_l <= sec_l + 4'h1;end

wire min_cb;//minute carry bit signal assign min_cb =(min_l == 4'h9)&&(min_h == 4'h5);always @(posedge sysclk or negedge rst_b)begin if(!rst_b)begin

min_l <= init_min[3:0];min_h <= init_min[7:4];end else if((sec_cb)&&(min_l!=4'h9)&&(time_cnt == count_1s))

min_l <= min_l + 4'h1;else if((sec_cb)&&(min_l == 4'h9)&&(min_h!= 4'h5)&&(time_cnt == count_1s))begin

min_l <= 4'h0;min_h <= min_h + 4'h1;end else if((sec_cb)&&(min_cb)&&(time_cnt == count_1s))begin

min_l <= 4'h0;min_h <= 4'h0;end else if((fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time == 24'h0)&&(min_l!= 4'h9))

min_l = min_l + 4'h1;else if((fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time ==

24'h0)&&(min_l == 4'h9)&&(min_h!=4'h5))begin

min_l = 4'h0;min_h = min_h + 4'h1;end else if((fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time == 24'h0)&&(min_l == 4'h9)&&(min_h ==4'h5))begin

min_l = 4'h0;min_h = 4'h0;end end

always @(posedge sysclk or negedge rst_b)begin if(!rst_b)begin

hour_l <= init_hour[3:0];hour_h <= init_hour[7:4];end else if((sec_cb)&&(min_cb)&&(hour_l!= 4'h9)&&(hour_h!= 4'h2)&&(time_cnt == count_1s))

hour_l <= hour_l + 4'h1;else if((sec_cb)&&(min_cb)&&(hour_l!= 4'h3)&&(hour_h == 4'h2)&&(time_cnt == count_1s))

hour_l <= hour_l + 4'h1;else if((sec_cb)&&(min_cb)&&(hour_l == 4'h9)&&(hour_h!= 4'h2)&&(time_cnt == count_1s))begin

hour_l <= 4'h0;hour_h <= hour_h + 4'h1;end else if((sec_cb)&&(min_cb)&&(hour_l == 4'h3)&&(hour_h == 4'h2)&&(time_cnt == count_1s))begin

hour_l <= 4'h0;hour_h <= 4'h0;end else if((!fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time == 24'h0)&&(hour_l!= 4'h9)&&(hour_h!=4'h2))

hour_l <= hour_l + 4'h1;else if((!fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time == 24'h0)&&(hour_l!= 4'h3)&&(hour_h ==4'h2))

hour_l <= hour_l + 4'h1;else if((!fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time == 24'h0)&&(hour_l == 4'h9)&&(hour_h!=4'h2))begin

hour_l <= 4'h0;hour_h <= hour_h + 4'h1;end else if((!fm)&&(mode_num == 2'h2)&&(key_change_press)&&(key_time ==

24'h0)&&(hour_l == 4'h3)&&(hour_h ==4'h2))begin

hour_l <= 4'h0;hour_h <= 4'h0;end end

wire buzzer_en;assign buzzer_en =(init_alarm_min == {min_h,min_l})&&(init_alarm_hour == {hour_h,hour_l});

led_tube i_led_tube(.sysclk(sysclk),.rst_b(rst_b),.scan_time(24'h1f090),.data0({1'h1,sec_l}),.data1({1'h1,sec_h}),.data2({1'h1,4'ha}),.data3({1'h1,min[3:0]}),.data4({1'h1,min[7:4]}),.data5({1'h1,4'ha}),.data6({1'h1,hour[3:0]}),.data7({1'h1,hour[7:4]}),.led_data(led_data),.led_sel(led_sel));buzzer i_buzzer(.sysclk(sysclk),.rst_b(rst_b),.buzzer_en(buzzer_en),.buzzer(buzzer));endmodule

电子eda课程心得篇二

eda课程设计心得体会

写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。

eda课程设计心得体会一

这次eda课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对eda的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

eda课程设计心得体会二

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。

一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对quartus ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有x老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件的应用,受益匪浅,非常感谢x老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿x老师身体健康,全家幸福。

通过这次课程设计,我进一步熟悉了verilog hdl语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。

在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢x老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

本次设计过程中得到我们老师的悉心指导。x老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。x老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。

eda课程设计心得体会三

本学期末我们进行了eda实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握verilog硬件描述语言的基础上,运用quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮a、b、c、d,系统清零信号clr,系统时钟信号clk,计分复位端rst,加分按钮端add,计时预置控制端ldn,计时使能端en,计时预置数据调整按钮可以用如ta、tb表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如leda、ledb、ledc、ledd表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块。

实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。在不停的重复的编译、改错。拿着eda修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。

在实训中我们遇到了很多的问题。为了解决这些问题我和他们两个都在的想办法通过各种渠道寻找解决问题的方法。上网查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。于是晚上又找了些关于顶层文件资料还有课本上的例子。最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

纸上谈来终觉浅,绝知此事要躬行。在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。实训只要你认真做了都是对自己能力一次很大的提高。

本次设计过程中得到我们老师的悉心指导。x老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。x老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。在此诚挚地向x老师致谢。

电子eda课程心得篇三

eda课程设计心得体会

这次eda课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对eda的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

plc实训心得

和学别的学科一样,在学完plc理论课程后我们做了课程设计,此次设计以分组的方式进行,每组有一个题目。我们做的是一个由三个部分组成的浇灌系统。由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。但通过各方面的查资料并学习。我们基本学会了plc设计的步聚和基本方法。分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。

通过这次设计实践。我学会了plc的基本编程方法,对plc的工作原理和使用方法也有了更深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到plc中的时候,问题出现了,不是不能运行,就是运行的结果和要求的结果不相符合。能过解决一个个在调试中出现的问题,我们对plc 的理解得到加强,看到了实践与理论的差距。

通过合作,我们的合作意识得到加强。合作能力得到提高。上大学后,很多同学都没有过深入的交流,在设计的过程中,我们用了分工与合作的方式,每个人互责一定的部分,同时在一定的阶段共同讨论,以解决分工中个人不能解决的问题,在交流中大家积极发言,和提出意见,同时我们还向别的同学请教。在此过程中,每个人都想自己的方案得到实现,积极向同学说明自己的想法。能过比较选出最好的方案。在这过程也提高了我们的表过能力。

在设计的过程中我们还得到了老师的帮助与意见。在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法,不是有句话叫做思而不学者殆。做事要学思结合。

通过本次设计,让我很好的锻炼了理论联系实际,与具体项目、课题相结合开发、设计产品的能力。既让我们懂得了怎样把理论应用于实际,又让我们懂得了在实践中遇到的问题怎样用理论去解决。

在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和internet成了我们很好的助手。在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。

在设计过程中,总是遇到这样或那样的问题。有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。自然而然,我的耐心便在其中建立起来了。为以后的工作积累了经验,增强了信心

电子eda课程心得篇四

《电子系统设计自动化》课程设计报告

学 院: 机电工程学院

题 目: 数字时钟电路设计 课 程: 《电子系统设计自动化》课程设计 专业班级: 电信10级2 班 学生姓名: 刘星 秦玉杰 王艳艳 学 号: 1004101035 1004101036 1004101038

完成日期:2013年 12 月 27 日

摘要:

eda(electronic design automation)电子设计自动化,就是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成用软件方式设计的电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次实习利用quartusii为设计软件、vhdl为硬件描述语言,结合所学的数字电路的知识设计一个24时多功能数字钟,具有正常时、分、秒计时,动态显示,清零、快速校时校分、整点报时、花样显示等功能。利用硬件描述语言vhdl对设计系统的各个子模块进行逻辑描述,采用模块化的设计思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑分割、逻辑综合优化、逻辑布线、逻辑仿真,最终将设计的软件系统下载设计实验系统,对设计的系统进行硬件测试。

一、课程设计基本要求和任务

《eda课程设计》是继《模拟电子技术基础》、《数字电子技术基础》课程后,电信专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分。1.1 目的和任务

(1)通过课程设计使学生能熟练掌握一种eda软件(quartusii)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程,为以后进行工程实际问题的研究打下设计基础。

(2)通过课程设计使学生能利用eda软件(quartusii)进行至少一 个电子技术综合问题的设计,设计输入可采用图形输入法或vhdl硬件描述语言输入法。(3)通过课程设计使学生初步具有分析、寻找和排除电子电路中常见 故障的能力。

(4)通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。1.2 功能要求:

(1)具有时、分、秒计数显示功能,以24小时循环计时。(2)时钟计数显示时有led灯的花样显示。(3)具有调节小时、分钟、秒及清零的功能。(4)具有整点报时功能。

1.3 总体方框图:

本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用vhdl语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。1.4 设计原理:

数字钟电路设计要求所设计电路就有以下功能:时、分、秒计时显示,清零,时、分调节,整点报时及花样显示。分、秒计时原理相似,可以采用60进制bcd码计数器进计时;小时采用24进制bcd码进行计时;在设计时采用试验电路箱上的模式7电路,不需要进行译码电路的设计;所设计电路具有驱动扬声器和花样显示的led灯信号产生。试验箱模式7的电路如图一所示:图一模式七实验电路图

1.5 性能指标及功能设计:

(1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。

2.2 模块划分自顶向下分解

2.3 模块描述

时钟计时模块完成时、分、秒计数,及清零、调节时和分钟的功能。时、分、秒计数的原理相同,均为bcd码输出的计数器,其中分和秒均为六十进制bcd码计数器,小时为二十四进制bcd码计数器。设计一个具有异步清零和设置输出功能的六十进制bcd码计数器,再设计一个具有异步清零和设置输出功能的二十四进制计数器,然后将它们通过一定的组合构成时钟计时模块。各个输入/输出端口的作用为:

(1)clk为计时时钟信号,reset为异步清零信号;

(2)sethour为小时设置信号,setmin为分钟设置信号;(3)daout[5„0]为小时的bcd码输出, daout[6...0]为秒和分钟的bcd码输出,enmin和enhour为使能输出信号。

(4)在时钟整点的时候产生扬声器驱动信号和花样显示信号。由时钟计时模块中分钟的进行信号进行控制。当contr_en为高电平时,将输入信号clk送到输出端speak用于驱动扬声器,同时在clk的控制下,输出端lamp[2..0]进行循环移位,从而控制led灯进行花样显示。输出控制模块有扬声器控制器和花样显示控制器两个子模块组成 2.4 顶层电路图

顶层文件是由四个模块组成,分别是时、分、秒计数器和报警的vhdl语言封装而成。经过锁定引脚再重新编译获得如下顶层原理电路图:

三、方案实现

3.1 各模块仿真及描述

(1)秒计数器模块仿真图:将标准秒信号送入”秒计数器”,秒计数器采用60进制计数器,每累计60秒发出一个分脉冲信号,该信号将作为分计数器的时钟脉冲,daout代表秒输出。

(2)分计数器电路仿真图:也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器,daout端口代表分钟输出

(3)小时计数器电路仿真图:时计数器采用12进制计时器,可实现对24小时累 计。每累计12小时,发出一个脉冲信号。

引脚配置完成后再进行一次全程编译,无误则可以下载到试验箱上进行硬件测试。硬件验证的方法如下:选择实验模式7;时钟脉冲clk与clock0(1024hz)信号相连;键8和键5均为低电平,时钟正常计时,数码管1和2显示秒,数码管4和5显示分钟,数码管7和8显示小时;键8为高电平时,时钟清零;键5为高电平时,按下键7和键4进行调时调分操作;当时钟为整点的时候,三个发光二极管进行循环移位操作,同时扬声器发声。

五、心得体会

经过源程序的编辑、逻辑综合、逻辑适配、编程下载成功后,在eda实验开发系统进行硬件验证时却发现实验结果不正确,扬声器无法发声。经检查,自己设计的管脚文件有错。将管脚锁定文件修改后,重新进行逻辑适配、编程下载成功后,实验结果仍然不正确,百思不得其解。无奈之下,决定重头开始排查每一步的细节,确定各个模块的功能完全实现并且顶层模块功能正确。修改之后,重新进行逻辑适配、编程下载验证,实验结果完全正确。

这次eda课程设计历时两个星期,在整整两个星期的日子里,不仅巩固了以前所学过的知识,而且学到了很多书本上学不到的知识,同时锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,对未来有了更多的信心。这次课程设计,进一步加深了我对eda的了解,使我对quartusii的基本操作有所了解,使我对应用软件的方法设计硬件系统有了更加浓厚的兴趣。通过这次课程设计,我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合,从实践中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。在设计的过程中,我遇到许多问题,毕竟是第一次应用vhdl进行硬件电路系统的设计,许多eda的知识还没有充分的掌握,遇到困难也是在所难免的,同时发现了自己的不足之处:学习知识表面化,没有深入了解它们的原理。总的来说,这次设计的数字时钟电路还是比较成功的,尽管在设计中遇到了很多问题,最后在老师的辛勤指导、同学的帮助和自己不断思考下,终于迎刃而解,有点小小的成就感,觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。最后,对给过我帮助的所有同学和指导老师再次表示忠心的感谢!

参考文献

[1] 崔健明.《电子电工eda仿真技术》 高等教育出版社 2000年 [2] 卢杰,赖毅.《vhdl与数字电路设计》 科学出版社 2001年 [3] 潘松,黄继业.《eda技术实用教程》 科学出版社 2002年 [4] 朱运利.《eda技术应用》 电子工业出版社 2004年 [5] 张明.《vhdl实用教程》 电子科技大学出版社 1999年

[6] 彭介华.《电子技术课程设计与指导》 高等教育出版 1997年

library ieee;

use ;use ;entity minute is port(clk,clk1,reset,sethour:in std_logic;enhour:out std_logic;daout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute is signal count :std_logic_vector(6 downto 0);signal enhour_1, enhour_2: std_logic;--enmin_1为59分时的进位信号 begin--enmin_2由clk调制后的手动调时脉冲信号串 daout<=count;enhour_2<=(sethour and clk1);--sethour为手动调时控制信号,高电平有效 enhour<=(enhour_1 or enhour_2);process(clk,reset,sethour)begin if(reset='0')then--若reset为0,则异步清零 count<=“0000000”;elsif(clk'event and clk='1')then--否则,若clk上升沿到 if(count(3 downto 0)=“1001”)then--若个位计时恰好到“1001”即9 if(count <16#60#)then--又若count小于16#60#,即60 if(count=“1011001”)then--又若已到59d enhour_1<='1';--则置进位为1 count<=“0000000”;--count复0 else count<=count+7;--若count未到59d,则加7,即作“加6校正” end if;--使前面的16#60#的个位转变为8421bcd的容量 else count<=“0000000”;--count复0(有此句,则对无效状态电路可自启动)end if;--end if(count<16#60#)elsif(count <16#60#)then count<=count+1;--若count<16#60#则count加1 enhour_1<='0' after 100 ns;--没有发生进位 else count<=“0000000”;--否则,若count不小于16#60# count复0 end if;--end if(count(3 downto 0)=“1001”)end if;--end if(reset='0')end process;end fun;

3、时计数器模块的vhdl语言:

library ieee;use ;use ;

if(clk'event and clk='1')then if(dain=“0000000”)then speak<=count1(1);if(count1>=“10”)then count1<=“00”;--count1为三进制加法计数器 else count1<=count1+1;end if;end if;end if;end process speaker;lamper:process(clk)begin if(rising_edge(clk))then if(count<=“10”)then if(count=“00”)then lamp<=“001”;--elsif(count=“01”)then lamp<=“010”;elsif(count=“10”)then lamp<=“100”;end if;count<=count+1;else count<=“00”;end if;end if;end process lamper;end fun;

循环点亮三只灯

电子eda课程心得篇五

《eda课程设计》

课程设计题目:

基于单片机的温湿度采集系统

名:

xxx

号:

xxxx

级:

xxxx

间:

2014.4.21~ 2013.5.5

点:

xxxxx

指 导

师:

xxxxx

目录

一、电路原理图..................................................................................2

二、电路pcb图(或实物图).........................................................2

三、电路效果图..................................................................................3

四、设计总结......................................................................................3 附录(单片机源代码)......................................................................4

一、电路原理图

二、电路pcb图(或实物图)

三、电路效果图

四、设计总结

eda的实验还是挺有趣的,比较讲究动手能力,当然也不能忽略团体合作。总的来说本次实验还是成功了,虽然每个环节都遇到了困难。在生成原理图的过程中,就曾把导线画成了placeline而不是placewire,还有芯片的引脚应该用net符号而不是用文本符号,所以这些错误都导致我花在原理图上的时间多了点。而在生成pcb电路图的过程中遇到的困难则是自动布线之后,还有电源的几个脚需要手动布线,所以各个元件之间的位置要布置好,以免发生短路。腐蚀的时候,由于腐蚀的时间太长了,有些碳都化开了,导致里面的铜被腐蚀掉了,所以又为我的工作增加了困难。在焊接的时候,要注意元件的正负极,还要检测锡是否都与那些铜连接上了。最终把led和 dht11的程序烧进去就行了。

本次实验我还是能多多少少学到点什么的,总的来说还是希望能有多一点这样的实习。

附录(单片机源代码)

//51单片机控制温湿度传感器dht11

lcd1602上显示当前机最小系统。//lcd 读进去 写出来 #include

#include

typedef unsigned char byte;typedef unsigned int word;#define uint unsigned int

//定义无符号整型 #define uchar unsigned char typedef bit bool;

//此声明一个布尔型变量即真或假// uchar data_byte,num,i;uchar rh,rl,th,tl,flag;uchar shuzi[4];unsigned char code num1[11]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x7f};

sbit dht=p2^4;

//dht11data端接单片机的p2^4口//

//***************

数************************************* void delay(uchar ms)//延时模块//延时1毫秒

{

}

void delay1()

//一个for循环大概需要8个多机器周期

//一个机器周期为1us晶振为12mhz也就是说本函数延时8us{

} uchar i;

while(ms--)

for(i=0;i<110;i++);

uchar i;

for(i=0;i<1;i++);void display(void){ // if(flag==0)// {

p2=0x07;

p0=num1[shuzi[2]];delay(1);// }

// if(flag==1)// {

p2=0x0b;

p0=num1[shuzi[3]];delay(1);// } // if(flag==2)// {

p2=0x0d;

p0=num1[shuzi[0]];delay(1);// } // if(flag==3)// {

p2=0x0e;p0=num1[shuzi[1]];delay(1);// } }

//**************************dht11

块*************************************// void start()//开始信号

{

dht=1;

delay1();

//主机发出8us高电平,开始信号开始发出 dht=0;

delay(25);

// 主机把总线拉低必须大于18ms

dht11能检测到起始信号

dht=1;

//delay1();

//以下三个延时函数差不多为24usdelay1();delay1();

20-40us

}

uchar receive_byte()

//接收一个字节 8位// {

uchar i,temp;

for(i=0;i<8;i++)//接收8bit的数据

{

while(!dht);

//等待40-50us的低电平开始信号结束

delay1();

//开始信号结束之后延时26us-28us

delay1();delay1();

temp=0;

//时间为26us-28usif(dht==1)

temp=1;

//如果26us-28us

'0'

数据为'1'

while(dht);

//

'0'为26us-28us

'1'为70us

} data_byte<<=1;

//data_byte|=temp;

//接收每一位的数据,相或保存数据

return data_byte;}

void receive()//接收数据// {

uchar t_h,t_l,r_h,r_l,check,num_check,i;start();

//开始信号//调用开始信号子函数

dht=1;

//主机设为输入判断从机dht11响应信号

if(!dht)

//判断从机是否有低电平响应信号// {

while(!dht);//判断从机发出 40us 的低电平响应信号是否结束//

while(dht);

//判断从机发出 40us 的高电平是否结束 如结束则从机进入发送数据状态,主机进入数据接收状态

//两个while语句加起来就是dht11的响应信号

r_h=receive_byte();//湿度高位

调用接受一个字节的子函

r_l=receive_byte();//湿度低位

t_h=receive_byte();//温度高位

t_l=receive_byte();//温度低位

check=receive_byte();//校验位

//结束信号

dht=0;

//当最后一bit数据接完毕后主机拉低电平50us// for(i=0;i<7;i++)//差不多8us的延时

delay1();

dht=1;

//总线由上拉电阻拉高进入空闲状态

num_check=r_h+r_l+t_h+t_l;

if(num_check==check)//判断读到的四个数据之和是否与校验位相同

{

rh=r_h;

rl=r_l;

th=t_h;

tl=t_l;

check=num_check;}

shuzi[0]=rh/10;shuzi[1]=rh%10;shuzi[2]=th/10;shuzi[3]=th%10;

} }

void main()//主函数模块// { while(1)

//进入死循环

{

receive();

//接收数据

display();

} }

全文阅读已结束,如果需要下载本文请点击

下载此文档

相关推荐 更多

心得体会:《电子技术》课程设计
发布时间:2011-12-29
设计,给人以创作的冲动。在画家眼里,设计是一幅清明上河图或是一幅向日葵;在建筑师眼中,设计是昔日鎏金般的圆明园或是今日一塑自由女神像;在电子工程师心中,设计是贝尔实验室的电话机或是华为的程控交换机。.........
电子课程设计心得体会800字(6篇)
发布时间:2023-05-18
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。心得体会对于我们是非常有帮助的,可是应该怎么写心得体会呢?下面我给大家......
最新电子版心得体会 电子心得体会400字(五篇)
发布时间:2023-03-22
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。我们如何才能写得一篇优质的心得体会呢?以下是小编帮大家整理的心得体会......
eda实习心得体会
发布时间:2023-07-21
这次eda课程设计的实习激起了我尔后勤奋进修的乐趣,我想这将对我以后的进修发作主动的影响。其次,此次课程设想让我充份认识到到团队,在此分享心得体会。下面是查字典范文网小编为大家收集整理的eda实习心.........
eda实习心得体会
发布时间:2023-07-10
eda实习心得体会1这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。这次ED.........
最新电子信息工程实践心得体会 电子信息工程专业心得体会(4篇)
发布时间:2023-06-14
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。我们想要好好写一篇心得体会,可是却无从下手吗?下面我给大家......
电子课程设计结论 电子课程设计论文(十篇)
发布时间:2023-05-05
人的记忆力会随着岁月的流逝而衰退,写作可以弥补记忆的不足,将曾经的人生经历和感悟记录下来,也便于保存一份美好的回忆。大家想知道怎么样才能写一篇比较优质的范文吗?......
2023年电子课程设计心得体会大全(七篇)
发布时间:2023-04-06
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。好的心得体会对于我们的帮助很大,所以我们要好好写一篇心得体......
最新eda技术实训心得体会(17篇)
发布时间:2023-06-19
我们在一些事情上受到启发后,应该马上记录下来,写一篇心得体会,这样我们可以养成良好的总结方法。我们想要好好写一篇心得体会,可是却无从下手吗?下面是小编帮大家整理......
最新电子信息工程实践心得(4篇)
发布时间:2023-07-05
在日常的学习、工作、生活中,肯定对各类范文都很熟悉吧。那么我们该如何写一篇较为完美的范文呢?这里我整理了一些优秀的范文,希望对大家有所帮助,下面我们就来了解一下......
最新高一语文同步课程教案电子版(五篇)
发布时间:2023-05-28
作为一名专为他人授业解惑的人民教师,就有可能用到教案,编写教案助于积累教学经验,不断提高教学质量。既然教案这么重要,那到底该怎么写一篇优质的教案呢?这里我给大家......
最新电子商务课心得体会500字(十篇)
发布时间:2023-06-09
从某件事情上得到收获以后,写一篇心得体会,记录下来,这么做可以让我们不断思考不断进步。心得体会对于我们是非常有帮助的,可是应该怎么写心得体会呢?下面是小编帮大家......
最新电子工程师简历 电子工程师简历优秀(五篇)
发布时间:2023-07-20
在日常学习、工作或生活中,大家总少不了接触作文或者范文吧,通过文章可以把我们那些零零散散的思想,聚集在一块。相信许多人会觉得范文很难写?下面是小编为大家收集的优......
最新电子信息工程技术实训报告 体会 电子工程实训心得(3篇)
发布时间:2023-06-29
报告是指向上级机关汇报本单位、本部门、本地区工作情况、做法、经验以及问题的报告,大家想知道怎么样才能写一篇比较优质的报告吗?下面我就给大家讲一讲优秀的报告文章怎......
电子工艺基础心得体会 电子工艺课心得体会(四篇)
发布时间:2023-07-10
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。那么心得体会该怎么写?想必这让大家都很苦恼吧。以下是小编帮大家整理的......
最新农村电商课程大纲(五篇)
发布时间:2023-01-11
范文为教学中作为模范的文章,也常常用来指写作的模板。常常用于文秘写作的参考,也可以作为演讲材料编写前的参考。大家想知道怎么样才能写一篇比较优质的范文吗?下面是小......
最新电子商务的实训心得(五篇)
发布时间:2023-01-26
每个人都曾试图在平淡的学习、工作和生活中写一篇文章。写作是培养人的观察、联想、想象、思维和记忆的重要手段。范文怎么写才能发挥它最大的作用呢?以下是小编为大家收集......
最新线上课程设计心得体会(五篇)
发布时间:2023-03-07
我们在一些事情上受到启发后,可以通过写心得体会的方式将其记录下来,它可以帮助我们了解自己的这段时间的学习、工作生活状态。那么心得体会怎么写才恰当呢?下面我帮大家......
最新初二上册语文经典课程教案电子版(五篇)
发布时间:2023-02-19
作为一位兢兢业业的人民教师,常常要写一份优秀的教案,教案是保证教学取得成功、提高教学质量的基本条件。写教案的时候需要注意什么呢?有哪些格式需要注意呢?以下是小编......
电子教案 电子课程设计小结(三篇)
发布时间:2023-01-09
作为一名教职工,就不得不需要编写教案,编写教案有利于我们科学、合理地支配课堂时间。既然教案这么重要,那到底该怎么写一篇优质的教案呢?下面我帮大家找寻并整理了一些......
最新课程设计心得体会200字(五篇)
发布时间:2023-06-10
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。那么我们写心得体会要注意的内容有什么呢?接下来我就给大家介......
最新新课程培训心得体会总结(五篇)
发布时间:2023-03-17
我们在一些事情上受到启发后,应该马上记录下来,写一篇心得体会,这样我们可以养成良好的总结方法。好的心得体会对于我们的帮助很大,所以我们要好好写一篇心得体会下面我......
最新电子工艺工程实训心得体会(10篇)
发布时间:2023-01-31
学习中的快乐,产生于对学习内容的兴趣和深入。世上所有的人都是喜欢学习的,只是学习的方法和内容不同而已。那么心得体会该怎么写?想必这让大家都很苦恼吧。以下是小编帮......
eda实训心得体会400字(九篇)
发布时间:2023-05-15
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。那么我们写心得体会要注意的内容有什么呢?以下我给大家整理了......
新课标的心得体会 新课程标准的心得体会(五篇)
发布时间:2023-01-31
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。那么我们写心得体会要注意的内容有什么呢?下面我给大家整理了一些心得体会......
营销课程培训心得体会 课程销售培训心得(五篇)
发布时间:2023-01-31
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。我们如何才能写得一篇优质的心得体会呢?以下我给大家整理了一些优质的心......
最新电子信息工程技术实训报告 体会 电子信息工程实践心得(3篇)
发布时间:2023-07-14
报告材料主要是向上级汇报工作,其表达方式以叙述、说明为主,在语言运用上要突出陈述性,把事情交代清楚,充分显示内容的真实和材料的客观。写报告的时候需要注意什么呢?......
最新电子实训报告心得(模板五篇)
发布时间:2023-07-07
在当下社会,接触并使用报告的人越来越多,不同的报告内容同样也是不同的。优秀的报告都具备一些什么特点呢?又该怎么写呢?下面是小编帮大家整理的最新报告范文,仅供参考......
最新课程设计心得感悟怎么写(五篇)
发布时间:2022-12-31
在平日里,心中难免会有一些新的想法,往往会写一篇心得感悟,从而不断地丰富我们的思想。我们如何才能写得一篇优质的心得感悟呢?下面我帮大家找寻并整理了一些优秀的心得......
《模拟电路》课程设计心得体会
发布时间:2023-04-10
本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓&ldquo;纸上谈兵终觉浅,觉知此事要躬行。&rdquo;学习任何知识,仅从理论上去求知,.........
模电课程设计心得体会范文
发布时间:2023-06-09
经过模电课程设计培训,你学到了什么呢,写下你的感想吧!下面由小编为大家带来的模电课程设计心得体会范文,仅供参考~ 【模电课程设计心得体会范文一】 时间总是过得很快,经过一周的课程设计.........
数电课程设计心得体会精选
发布时间:2023-05-22
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。我们如何才能写得一篇优质的心得体会呢?下面我给大家整理了一些心得体会范......
最新心理课程的心理体会 心理课程的体会(五篇)
发布时间:2023-03-31
在日常学习、工作或生活中,大家总少不了接触作文或者范文吧,通过文章可以把我们那些零零散散的思想,聚集在一块。相信许多人会觉得范文很难写?下面是小编为大家收集的优......
最新种子课程心得体会 种子教师授课技能培训(模板4篇)
发布时间:2023-03-29
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。那么你知道心得体会如何写吗?以下是小编帮大家整理的心得体会范文,欢迎......
教育课程心得体会(五篇)
发布时间:2023-01-09
从某件事情上得到收获以后,写一篇心得体会,记录下来,这么做可以让我们不断思考不断进步。那么你知道心得体会如何写吗?以下是我帮大家整理的最新心得体会范文大全,希望......
最新课程设计个人心得体会 课程设计实验心得(三篇)
发布时间:2023-03-15
心得体会是指一种读书、实践后所写的感受性文字。好的心得体会对于我们的帮助很大,所以我们要好好写一篇心得体会以下是我帮大家整理的最新心得体会范文大全,希望能够帮助......
最新会计课程总结与体会 财务会计课程认知心得(五篇)
发布时间:2023-03-12
总结是对过去一定时期的工作、学习或思想情况进行回顾、分析,并做出客观评价的书面材料,它可使零星的、肤浅的、表面的感性认知上升到全面的、系统的、本质的理性认识上来......
最新心理课程心得体会300字 心理课程心得体会1000字(十四篇)
发布时间:2023-03-31
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。那么心得体会怎么写才恰当呢?那么下面我就给大家讲一讲心得体会怎么写才比......
2023年语文新课程标准心得(五篇)
发布时间:2023-03-19
每个人都曾试图在平淡的学习、工作和生活中写一篇文章。写作是培养人的观察、联想、想象、思维和记忆的重要手段。大家想知道怎么样才能写一篇比较优质的范文吗?这里我整理......
最新电子厂工作心得体会五篇(实用)
发布时间:2023-05-23
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。优质的心得体会该怎么样去写呢?下面小编给大家带来关于学习心得体会范文......
最新英语新课程改革心得体会(优秀五篇)
发布时间:2023-07-01
学习中的快乐,产生于对学习内容的兴趣和深入。世上所有的人都是喜欢学习的,只是学习的方法和内容不同而已。那么我们写心得体会要注意的内容有什么呢?下面是小编帮大家整......
最新一年级科学电子课本(五篇)
发布时间:2023-06-15
无论是身处学校还是步入社会,大家都尝试过写作吧,借助写作也可以提高我们的语言组织能力。那么我们该如何写一篇较为完美的范文呢?下面我给大家整理了一些优秀范文,希望......
高中英语新课程学习心得体会最新五篇
发布时间:2022-11-21
有了一些收获以后,写一篇心得体会,记录下来,这样能够培养人思考的习惯。应该怎么写才合适呢?下面小编在这里为大家精心整理了几篇高中英语新课程学习心得体会,希望对同......
最新新课程改革培训心得体会数学(五篇)
发布时间:2023-04-07
体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。我们想要好好写一篇心得体会,可是却无从下手吗?以下是我帮大家整理的最新......
最新电工与电子实验报告心得体会 电工与电子实训心得(15篇)
发布时间:2023-04-30
我们在一些事情上受到启发后,可以通过写心得体会的方式将其记录下来,它可以帮助我们了解自己的这段时间的学习、工作生活状态。我们想要好好写一篇心得体会,可是却无从下......
最新初中语文课程标准版电子书(7篇)
发布时间:2023-04-21
范文为教学中作为模范的文章,也常常用来指写作的模板。常常用于文秘写作的参考,也可以作为演讲材料编写前的参考。大家想知道怎么样才能写一篇比较优质的范文吗?以下是小......
2023年电化学讲座心得体会 电算化课程心得体会(14篇)
发布时间:2023-05-28
我们在一些事情上受到启发后,应该马上记录下来,写一篇心得体会,这样我们可以养成良好的总结方法。我们如何才能写得一篇优质的心得体会呢?以下是小编帮大家整理的心得体......
最新八年级数学电子课本 八年级语文电子课本五篇(优质)
发布时间:2023-05-26
无论是身处学校还是步入社会,大家都尝试过写作吧,借助写作也可以提高我们的语言组织能力。那么我们该如何写一篇较为完美的范文呢?下面是小编为大家收集的优秀范文,供大......
最新课程培训的心得体会 课程培训心得体会500字(8篇)
发布时间:2023-07-07
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。那么你知道心得体会如何写吗?下面小编给大家带来关于学习心得......
最新cad课程实训心得体会 cad课程实训报告心得(十一篇)
发布时间:2023-04-24
我们在一些事情上受到启发后,可以通过写心得体会的方式将其记录下来,它可以帮助我们了解自己的这段时间的学习、工作生活状态。那么你知道心得体会如何写吗?下面我帮大家......
新课程教学心得体会总结 新课程的心得体会(九篇)
发布时间:2023-01-11
在平日里,心中难免会有一些新的想法,往往会写一篇心得体会,从而不断地丰富我们的思想。大家想知道怎么样才能写得一篇好的心得体会吗?下面我给大家整理了一些心得体会范......
最新计算机课程设计心得体会300字 计算机课程设计心得体会总结(五篇)
发布时间:2023-03-27
体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。那么我们写心得体会要注意的内容有什么呢?下面我给大家整理了一些心得体会......
电子商务课心得体会800字(10篇)
发布时间:2023-06-09
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。大家想知道怎么样才能写得一篇好的心得体会吗?下面我帮大家找......
最新ui课程心得体会(18篇)
发布时间:2023-06-17
当我们备受启迪时,常常可以将它们写成一篇心得体会,如此就可以提升我们写作能力了。我们想要好好写一篇心得体会,可是却无从下手吗?下面是小编帮大家整理的心得体会范文......
2023年小学语文课程听课心得(五篇)
发布时间:2022-12-26
在日常的学习、工作、生活中,肯定对各类范文都很熟悉吧。相信许多人会觉得范文很难写?接下来小编就给大家介绍一下优秀的范文该怎么写,我们一起来看一看吧。小学语文课程......
课程实践心得体会(十五篇)
发布时间:2023-06-28
体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。那么我们写心得体会要注意的内容有什么呢?下面是小编帮大家整理的优秀心得......
最新中学语文课程听课心得(三篇)
发布时间:2022-12-15
无论是身处学校还是步入社会,大家都尝试过写作吧,借助写作也可以提高我们的语言组织能力。那么我们该如何写一篇较为完美的范文呢?接下来小编就给大家介绍一下优秀的范文......
最新新课程培训心得体会总结 新课程培训心得体会总结(3篇)
发布时间:2023-02-15
学习中的快乐,产生于对学习内容的兴趣和深入。世上所有的人都是喜欢学习的,只是学习的方法和内容不同而已。我们想要好好写一篇心得体会,可是却无从下手吗?那么下面我就......
最新电气工程实训报告心得 电气工程的心得体会(十四篇)
发布时间:2023-07-09
当我们备受启迪时,常常可以将它们写成一篇心得体会,如此就可以提升我们写作能力了。那么心得体会该怎么写?想必这让大家都很苦恼吧。下面是小编帮大家整理的优秀心得体会......
最新课程设计总结心得500字 课程设计总结心得万能(5篇)
发布时间:2023-07-03
工作学习中一定要善始善终,只有总结才标志工作阶段性完成或者彻底的终止。通过总结对工作学习进行回顾和分析,从中找出经验和教训,引出规律性认识,以指导今后工作和实践......
最新实训课程心得体会200字 实训课程心得体会结尾(三篇)
发布时间:2023-06-26
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。那么心得体会怎么写才恰当呢?接下来我就给大家介绍一下如何才能写好一篇心......
最新plc课程设计心得体会 plc课程设计心得体会1500字(14篇)
发布时间:2023-07-06
从某件事情上得到收获以后,写一篇心得体会,记录下来,这么做可以让我们不断思考不断进步。大家想知道怎么样才能写得一篇好的心得体会吗?下面小编给大家带来关于学习心得......
最新机械课程设计心得体会 货运课程设计心得体会(9篇)
发布时间:2023-04-05
学习中的快乐,产生于对学习内容的兴趣和深入。世上所有的人都是喜欢学习的,只是学习的方法和内容不同而已。我们如何才能写得一篇优质的心得体会呢?下面小编给大家带来关......
最新课程设计的心得体会1500字 课程设计的心得体会(7篇)
发布时间:2023-07-27
从某件事情上得到收获以后,写一篇心得体会,记录下来,这么做可以让我们不断思考不断进步。优质的心得体会该怎么样去写呢?以下我给大家整理了一些优质的心得体会范文,希......
最新线上课程心得体会500字 线上课程心得体会1000字(六篇)
发布时间:2023-01-17
在平日里,心中难免会有一些新的想法,往往会写一篇心得体会,从而不断地丰富我们的思想。那么心得体会该怎么写?想必这让大家都很苦恼吧。下面是小编帮大家整理的心得体会......
高中物理新课程培训心得 高中新课改新课程培训心得(十三篇)
发布时间:2023-07-21
无论是身处学校还是步入社会,大家都尝试过写作吧,借助写作也可以提高我们的语言组织能力。那么我们该如何写一篇较为完美的范文呢?接下来小编就给大家介绍一下优秀的范文......
最新化工课程设计心得体会(优质十五篇)
发布时间:2023-06-24
心得体会是指一种读书、实践后所写的感受性文字。那么心得体会怎么写才恰当呢?以下是小编帮大家整理的心得体会范文,欢迎大家借鉴与参考,希望对大家有所帮助。化工课程设......
最新电子信息工程自荐书(十五篇)
发布时间:2023-01-17
范文为教学中作为模范的文章,也常常用来指写作的模板。常常用于文秘写作的参考,也可以作为演讲材料编写前的参考。那么我们该如何写一篇较为完美的范文呢?下面是小编为大......
最新教师高效课堂心得体会汇编电子版(3篇)
发布时间:2023-02-05
在平日里,心中难免会有一些新的想法,往往会写一篇心得体会,从而不断地丰富我们的思想。优质的心得体会该怎么样去写呢?下面是小编帮大家整理的优秀心得体会范文,供大家......
最新大学生课程设计心得体会开头(五篇)
发布时间:2023-06-27
在平日里,心中难免会有一些新的想法,往往会写一篇心得体会,从而不断地丰富我们的思想。大家想知道怎么样才能写得一篇好的心得体会吗?下面是小编帮大家整理的心得体会范......
最新电子信息工程自荐信(十五篇)
发布时间:2023-03-18
人的记忆力会随着岁月的流逝而衰退,写作可以弥补记忆的不足,将曾经的人生经历和感悟记录下来,也便于保存一份美好的回忆。范文书写有哪些要求呢?我们怎样才能写好一篇范......
最新工程装修合同电子版(十五篇)
发布时间:2023-04-03
随着法治精神地不断发扬,人们愈发重视合同,越来越多的人通过合同来调和民事关系,合同能够促使双方正确行使权力,严格履行义务。那么合同书的格式,你掌握了吗?下面我给......
最新电子工艺实训收获与总结 电子工艺工程实训心得体会(18篇)
发布时间:2023-06-06
体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。心得体会对于我们是非常有帮助的,可是应该怎么写心得体会呢?下面我帮大家......
最新五柳先生传课件 五柳先生传电子课本(11篇)
发布时间:2023-07-14
在日常的学习、工作、生活中,肯定对各类范文都很熟悉吧。那么我们该如何写一篇较为完美的范文呢?下面我给大家整理了一些优秀范文,希望能够帮助到大家,我们一起来看一看......
最新电力电子实训总结和心得体会 电力电子实训报告心得优秀
发布时间:2023-05-07
从某件事情上得到收获以后,写一篇心得体会,记录下来,这么做可以让我们不断思考不断进步。我们如何才能写得一篇优质的心得体会呢?下面小编给大家带来关于学习心得体会范......
最新电子信息工程自荐信800字 电子信息工程自荐考试(十五篇)
发布时间:2023-03-30
无论是身处学校还是步入社会,大家都尝试过写作吧,借助写作也可以提高我们的语言组织能力。写范文的时候需要注意什么呢?有哪些格式需要注意呢?以下是小编为大家收集的优......
最新电气工程实训报告心得 电气工程的心得体会(二十六篇)
发布时间:2023-03-01
体会是指将学习的东西运用到实践中去,通过实践反思学习内容并记录下来的文字,近似于经验总结。我们如何才能写得一篇优质的心得体会呢?下面小编给大家带来关于学习心得体......
参加建设工程电子培训班心得
发布时间:2023-02-23
现如今国内大多数企业仍然沿用传统的招标模式进行招投标工作,即发放纸质招标文件,不少于20天公示期后开标,各投标人要准备大量的纸质证明材料,行业专家根据投标人提供的资料进行评审,最后确认中标单位,发.........
最新新课标的心得体会 新课程标准 心得体会优秀
发布时间:2023-04-23
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。我们想要好好写一篇心得体会,可是却无从下手吗?下面是小编帮大家整理的......
最新电子信息工程专业求职意向 电子信息工程求职准备(五篇)
发布时间:2023-04-06
在日常学习、工作或生活中,大家总少不了接触作文或者范文吧,通过文章可以把我们那些零零散散的思想,聚集在一块。大家想知道怎么样才能写一篇比较优质的范文吗?下面是小......
最新电子实训心得体会500 电子实训心得体会200字(21篇)
发布时间:2023-06-23
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。优质的心得体会该怎么样去写呢?以下是我帮大家整理的最新心得体会范文大全......
最新电子商务实训体会总结 电子商务实训报告心得(五篇)
发布时间:2023-07-13
随着个人素质的提升,报告使用的频率越来越高,我们在写报告的时候要注意逻辑的合理性。那么我们该如何写一篇较为完美的报告呢?以下是我为大家搜集的报告范文,仅供参考,......
最新从课堂到课程心得体会(十六篇)
发布时间:2023-07-24
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。大家想知道怎么样才能写得一篇好的心得体会吗?下面我帮大家找......
最新心理健康课程心得体会100字 心理健康课程心得体会600字(六篇)
发布时间:2023-04-16
当在某些事情上我们有很深的体会时,就很有必要写一篇心得体会,通过写心得体会,可以帮助我们总结积累经验。我们想要好好写一篇心得体会,可是却无从下手吗?下面小编给大......
最新小学数学新课标课程标准心得体会 小学数学新课程标准心得实用
发布时间:2023-05-23
心中有不少心得体会时,不如来好好地做个总结,写一篇心得体会,如此可以一直更新迭代自己的想法。那么我们写心得体会要注意的内容有什么呢?下面小编给大家带来关于学习心......
最新音乐课程培训心得(3篇)
发布时间:2023-02-05
人的记忆力会随着岁月的流逝而衰退,写作可以弥补记忆的不足,将曾经的人生经历和感悟记录下来,也便于保存一份美好的回忆。那么我们该如何写一篇较为完美的范文呢?以下是......
最新课程设计个人心得体会 课程设计个人总结与心得(9篇)
发布时间:2023-02-05
我们得到了一些心得体会以后,应该马上记录下来,写一篇心得体会,这样能够给人努力向前的动力。那么我们写心得体会要注意的内容有什么呢?下面是小编帮大家整理的心得体会......
最新普法课程心得体会(8篇)
发布时间:2023-01-03
我们在一些事情上受到启发后,应该马上记录下来,写一篇心得体会,这样我们可以养成良好的总结方法。那么你知道心得体会如何写吗?接下来我就给大家介绍一下如何才能写好一......
最新课程设计心得体会(17篇)
发布时间:2023-03-25
当我们备受启迪时,常常可以将它们写成一篇心得体会,如此就可以提升我们写作能力了。优质的心得体会该怎么样去写呢?接下来我就给大家介绍一下如何才能写好一篇心得体会吧......
幼师课程培训心得体会五篇
发布时间:2022-12-17
转眼间,这次的幼儿园教师培训已经结束,很荣幸自己能够参加这次的培训学习,下面是小编整理的幼师课程培训心得体会,欢迎阅读。幼师课程培训心得体会【一】怀着对孩子的十分热爱来到天鹭幼师培训学校,经过一段时间的专业培训,马上就要进园实习了,天天可以和孩子们在一起了,想到孩子就心情澎湃,我喜欢他们。我爱他们,他们在教室里吵闹听起来都感觉好舒服,我多么想一辈子都在他们的吵闹声中度过。今天3月15号我去幼儿园见.........