当前位置: 查字典论文网 >> 基于fpga 的f.i.r 滤波器设计探讨

基于fpga 的f.i.r 滤波器设计探讨

格式:DOC 上传日期:2023-01-17 02:30:04
基于fpga 的f.i.r 滤波器设计探讨
时间:2023-01-17 02:30:04     小编:

1.引言

数字滤波在图像处理、语音识别和模式识别等数字信号处理中占有重要地位。与模拟滤波器相比,数字滤波器可以满足滤波器幅度和相位特性的严格要求,可以克服模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。有限冲激响应(FIR)滤波器可以保证严格的线性相位。同时由于其实现结构主要是非递归的,因此FIR 滤波器可以稳定工作。FIR 滤波器被广泛用于各类数字信号处理系统中实现卷积、相关、自适应滤波、正交插值等处理,对于非实时系统和低速采样系统,FIR 滤波器的运算可在CPU 或DSP 处理器上采用软件实现。但对于无线通讯、雷达以及工业控制,甚至语音信号处理等实时应用,由于FIR 运算计算量过大,采用DSP 软件可能无法实现,因此采用可编程器件便是最好的方法。

目前 FIR 滤波器大致有以下几种实现方法:

(1)使用单片通用数字滤波器集成电路。单片通用数字滤波器使用简单方便,但由于字长和阶数的规格较少,不能完全满足实际需要。虽可采用多片扩展来满足,但会增加体积和功耗,因而在实际中受到一定限制。

(2)采用DSP 器件实现。由于有专门的函数可供调用,因此使用DSP 器件设计FIR滤波器相对较简单,其应用也最为广泛。其唯一缺点是程序顺序执行,尽管DSP 器件性能不断提高,但在某些实时性要求极高的场合中受到限制。

(3)采用可编程逻辑器件实现。随着可编程逻辑器件的容量和速度的不断增加,实现单片系统集成已经成为可能。利用可编程逻辑器件实现FIR 滤波器,由于实现的是硬件并行算法,因此特别适用于某些实时性要求高的场合。

2.FIR 滤波器基本原理

滤波,顾名思义是对输入信号通过一定的处理得到输出信号,这个处理通常是提取信号中某频率范围内的信号成分,把这种处理的过程称为滤波。而能实现滤波处理的运算电路或设备就称为滤波器。数字滤波器是完成信号滤波处理功能的,用有限精度算法实现的离散时间线性非时变系统,其输入是一组数字量,其输出是经过变换的另一组数字量。数字滤波器具有稳定性高、精度高、灵活性大等突出优点。随着数字技术的发展,用数字技术设计滤波器的功能越来越受到人们的注意和广泛的应用。FPGA 有着规整的内部逻辑块阵列和丰富的连线资源,特别适合细精度和高并行度结构特点的数字信号处理任务,如FIR、FFT 等。本文详细讨论利用FPGA 实现FIR 滤波器的设计过程,并且对设计中的关键技术—分布式算法进行详细描述。

3. FIR 分布式算法原理

3.1 总体设计

分布式算法是一种以实现乘加运算为目的的运算方法。它与传统算法实现乘加运算的不同在于执行部分积运算的先后顺序不同。简单地说,分布式算法在完成乘加功能时是通过将各输入数据每一对应位产生的部分积预先进行相加形成相应部分积,然后再对各部门积进行累加形成最终结果,而传统算法是等到所有乘积产生之后再进行相加来完成乘加运算的。与传统算法相比,分布式算法可极大地减少硬件电路规模,很容易实现流水线处理,提高电路的执行速度。

FIR 滤波器(Finite Impulse Response)有直接型、级联型和频率抽样型三种基本结构,其中最常见的是直接型结构。这种结构也称为抽头延迟线结构,或横向滤波器结构。

可以看出,线性相位FIR 滤波器的固有对称属性可以降低所需要的乘法器的数量,它使得乘法器的数量降低了一半,而加法器的数量增加了一半,总的运算量减少。

FIR 滤波器的优点有:

4.FIR 滤波器设计实现

4.1 总体设计思路

基于抽取滤波器的工作原理,本文采用FLEX10K 实现了一个具有线性相位的16 阶FIR抽取滤波器,利用原理图和VHDL 共同完成源文件设计。

1.FIR 滤波器的设计指标

采样频率:5KHz截止频率:2KHz类型:低通 输入数据宽度: 8 位阶 数:16 阶 系数数据宽度: 8 位

2.参数提取 根据数字系统输出与输入的关系,并根据模块化的设计思想,把系统分为六个模块,包括控制模块,数据寄存模块,加法模块,计数模块,数据选择模块以及乘加模块。其核心部分是控制模块,通过对控制模块编程,分别在相应的周期内实现A/D 读入,转换以及数据的读出,再经数据寄存器来储存数据,经加法模块后来实现系数相同的两个采样值相加,以节省储存空间。加完后的采样值通过计数部分的地址选择信号与乘加部分随机储存器部分的对应系数相乘后输出,乘加模块里包括有反馈环节以实现与前面乘加的结果进行累加,待完成8 次累加后,把数据送到输出端并再送往D/A 转换器,这时实现了把数字信号转化成模拟信号的工作。然后把输出接到示波器上,在输入端通过高频信号发生器输入一个模拟信号,如果信号的最高频率小于滤波器的截止频率,则示波器上显示信号能顺利通过,反之若信号的最高频率大于截止频率,则不能通过。

编程时考虑到应用模块化的设计思想,对各个模块分别进行编译仿真调试,保正每个部分的功能实现后再进行综合,这样有利于实现程序的最优化设计,如果有哪一部分出了问题可以单独进行修改仿真调试,避免了大规模设计中从头开始查找的繁琐问题。

4.2 各模块实现

4.2.1 控制模块实现

控制器是抽取滤波器的核心模块,有两个功能:一是接收输入数据,二是向其它模块发送数据和控制信号。它根据加法器、乘法器和累加器的时序特性,有规律地向加法器发送抽头数据,向乘法器发送系数,向累加器发送控制信号,让加法器、乘法器和累加器在每个时钟周期都完成指定的任务,从而实现流水线操作。控制器的功能用VHDL 语言描述,用寄存器存放抽头和系数。

4.2.2 数据移位模块的实现

数据移位模块的符号图如图6 所示,其中:DATAIN[7..0]是来自A/D 转换器的数据;OUT0[7..0]~OUT15[7..0]是16 个输出数据,送往加法器;SCLK 是时钟信号,接控制器的ENSHIFT 端。数据移位寄存器中共有16 个触发器,每来一个脉冲,数据依次往后移。

4.2.3 加法模块实现本论文所设计的滤波器是具有线性相位的FIR 滤波器,满足偶对称条件:h(n)=h(n-N-

1)。

利用加法模块来减少乘法运算的计算量,提高运行速度、简化设计。加法模块的符号图如图8 所示,其中:ADDIN0[7..0]~ADDIN15[7..0]是输入数据,来自数据移位模块;ADDO0~ADDO7 是输出数据,送往乘法累加单元。

4.2.4 数据选择模块实现

根据计数器发送的的地址选择信号,依次输出D0~D7 八个数据,送往乘法累加单元,与ROM 中的特征参数对应进行乘法累加。

4.2.5 计数模块实现

计数模块按照控制器所产生的COM_CLK(于计数器的CLK 相连)信号,向数据选择模块和ROM 单元发送地址信号,取出数据于参数,送往乘法累加单元进行乘法累加。其中:CLK 是时钟信号,CLR 清零信号,Q[2..0]输出地址信号。

4.2.6 乘法累加模块实现

乘法累加单元接受来自数据选择单元的数据和ROM 单元内的特征参数,完成乘法累加的运算,并最总输出结果,送往D/A 转换器。

其中:SEL[2..0]是地址选择信号,按时序选择ROM 中的特征参数,TAP_OUT[7..0]是来自数据选择单元的数据,/COM_CLK 是时钟信号,/ENCOM 清零信号 ,DA_WE 是输出数据的控制信号。

5 编译,仿真,调试结果

5.1 编译、仿真

将各部分的原理图(或程序)分别画出(或输入),对各部分分别进行编译仿真,生成*.sym 符号图后,再进行综合,画出顶层文件原理图,再进行编译,确保正确后再进行波形的仿真。由于器件的综合会有一定时间的时延,所以也可以分析各部分的时延。

在仿真通过后就可进行编程/下载到目标器件中。首先进行管脚锁定(本次设计使用的目标器件为FLEX10K10LC84-4,锁定情况如表一所示),完成管脚锁定后,重新编译使之生效,此时回到原来的设计文件“*.gdf”上的输入输出信号旁都标有其对应的管脚号。重新编译好后,在重新进行时序仿真,若正确,可进行下一步,器件编程/配置.在通过项目编译后可生成文件*.sof 用于下载.FLEX系列有些类似FPGA,其逻辑块LE 及内部互连信息都是通过芯片内部的存储器单元阵列完成的,这些存储单元阵列可由配置程序装入, 存储单元阵列采用SRAM 方式,对这类器件的下载称为配置。因为FLEX 系列的配置信息采用SRAM 方式保存,所以掉电后FLEX 系列的配置信息会丢失,需每次系统上电后重新配置。

5.2 调试

在调试的过程中,出现了不少问题,例如:由于忽略了A/D 转换器TLC549 是串行输出这一点,而设计的控制器的输入数据是并行的,造成了不匹配;进行管脚锁定时,输出数据的高位和低位锁反;在设计控制模块时,有不少情况起初没有考虑到或是和预想的不一致等。但经过认真的分析,排除疑点,调试出了预期的结果。

6 结论

假设在输入端通过高频信号发生器输入一个模拟正弦信号,如果信号的最高频率小于滤波器的截止频率f s =2KHz,则示波器上显示信号能顺利通过;反之信号的最高频率如果大于截止频率,则信号不能通过。

具体说明如下:

(1) 在 RESET 信号的控制下,实现低电平复位,即所有的输出均置为零。

(2) 在时钟信号CLK 的控制下,在内部产生一个新的时钟信号CLK-1us,随着计数功能的启动,在不同的时间段将启动不同的模块工作,以实现不同的功能。

(3) FPGA 与外部器件A/D TLC549 有3 个接口连接,由于TLC549 是串行输出数据,因此DATA-IN 只赋一位变量,AD_ rd 负责将经TLC549 处理过的数字信号输入FPGA,以便数字滤波器对其进行处理。

(4) FPGA 与D/A 的接口是8 位,因此分派了DATA OUT[7..0]的输出口,在经D/A转换后,以便在模拟示波器上显示。

但是我们最终得到的波形,并没有像输入波形过渡那么平滑。

引起这种现象的原因可能是参数设置的不够精确、各器件之间存在干扰、采样的点数不够密等。在这里还需要指出的一点是:当我们把高频信号发生器产生的正弦波信号加到EDA 实验箱上时,波形发生了失真,顶部的圆弧过度变窄,底部的圆弧过度变宽,底部的失真情况比顶部明显的多。

硕士论文代写网专业提供mba硕士论文代写服务

全文阅读已结束,如果需要下载本文请点击

下载此文档

相关推荐 更多

船舶动力定位系统滤波器设计及仿真
发布时间:2022-10-23
摘 要:针对船舶动力定位系统中单一滤波器的滤波性和稳定性差的问题,设计了基于Sage-Husa自适应滤波算法和强跟踪卡尔曼滤波算法相结合的自适应滤波算法,建立了动力定位船舶的数学模型,并根据此船舶设计了滤波器以及相应的算法,通......
基于FPGA的多功能数字钟设计
发布时间:2022-07-29
摘 要:利用现代电子设计方法设计了一种基于FPGA的多功能数字钟,采用硬件描述语言VHDL进行分频、计数、扫描和整点报时等模块的设计,通过在quartusII9.0软件中编译、仿真,先进行软件模拟系统各运行状态,最后下载到FPGA实验开发平台......
声矢量传感器阵中基于Kalman滤波和OPASTd的DOA跟踪算法
发布时间:2023-04-17
摘要:研究了声矢量传感器阵动目标角度跟踪问题,并提出了声矢量传感器阵中一种基于Kalman滤波和正交压缩近似投影子空间跟踪(Orthonormalprojectionapproximationandsubspacetrackingofdeflation,OPASTd)的波达方向(Directionofarrival,DOA)跟踪算法。该算法通过OPASTd算法来进行DOA的跟踪,从而克服了PAST.........
基于声卡的数据采集及波形发生器设计
发布时间:2022-09-02
基于声卡的数据采集及波形发生器设计 摘 要:介绍了一种利用vc 实现基于声卡的双通道数据采集系统,实现了波形发生器与频率测量等基本功能,为低成本下构建数据采集系统与波形发生器提......
基于FPGA的多路数据采集系统设计
发布时间:2023-03-12
摘要:数据采集系统是现代检测控制技术的关键,是模拟域与数字域之间的纽带,广泛应用于诸如军事、工业控制、深海测量等各领域,目前常用的是专用数据采集卡。相比较专用采集卡,基于FPGA设计的数据采集系统具有多功能、高效率、易升级、低功耗等优点。实验结果显示,本系统可以采集的电压值变化范围为0~5V,精确度能达到0.02V。系统显示可以实现单通道显示与多通道巡检显示切换。关键词:可编程逻辑;数据采集;硬件.........
波形发生器的设计
发布时间:2015-09-11
关键词:51单片机 数字模拟转换电路 运放电路 LM016L液晶显示屏 1 系统的设计指标 对于该波形发生器的设计,我们采用了以STC89C52 单片机芯片作为核心处理器,编程实现各种不同类型信号的产生,最后再把波形输出到示波器上。结构简......
基于DSP的充电器控制器设计
发布时间:2022-11-13
传统的电源多为模拟控制,虽然技术已经相当成熟,但是存在很多缺点:①控制电路的元器件比较多,电路复杂,所占体积较大;②灵活性不够,硬件电路设计好了,控制策略就无法改变;③不便于调试,由于所采用器件特性差异,致使电源的一......
基于Gabor滤波器和BP神经网络的人脸皮肤皱纹区域自动识别
发布时间:2022-12-23
摘要:由于色斑和毛孔等强噪声的干扰,人脸皱纹识别特别是对面部细纹理的识别受到了严重影响。针对上述问题提出了一种基于Gabor滤波器和BP神经网络相结合的人脸皱纹识别算法。通过训练好的BP神经网络人脸皮肤图像首先识别是否存在皱纹,再分别自动标注存在皱纹的区域。本算法首先基于不同年龄的多幅人脸照片创建皱纹样本库,采用样本库训练神经BP网络。其次分别选取含皱纹和不含皱纹的图片,然后用Gabor滤波器组计.........
基于FPGA的机载视频显示系统的低功耗设计
发布时间:2023-05-26
摘 要: 机载视频显示系统需要完成对视频信号的实时低功耗处理,采用Xilinx公司新推出的Kintex 7系列FPGA作为核心处理器,并搭载高倍读/写速率的DDR3,实现了对PAL及DVI视频信号的编解码、旋转缩放等处理,系统电路设计模块化,具有较......
基于FPGA超高频RFID系统并行CRC模块设计
发布时间:2015-09-09
【摘要】根据CRC(循环冗余校验码)算法的原理,和ISO/IEC18000-6标准中超高频射频识别系统对校验电路的要求,分析串行CRC算法,提出了一种并行CRC算法。经Verilog-HDL语言编写该算法程序,在QuartusⅡ9.0软件上仿真。最终给出仿真结......
基于非局部平均滤波的MRI去噪算法研究
发布时间:2023-01-17
摘要:高效的MRI去噪算法是一个极具价值的挑战性课题。介绍了非局部平均(NLM)滤波算法在MRI图像的应用及改进方法,探讨了发展趋势及应注意的问题。 关键词:磁共振成像;非局部平均;图像去噪 DOIDOI:10.11907/rjdk.151147 ......
MT2000型2kW短波发射机谐波滤波单元控制继电器故障分析
发布时间:2023-04-07
摘 要 MT2000型2kW短波发射机具的稳定性好、效率高的优点,被广泛用于电报、电话或低速数据通信和广播。但是短波发射机谐波滤波单元控制继电器多因控制继电器触电被烧坏而出现故障,产生吸合异常现象,增加入射功率和反射功率误差。根......
基于FPGA的音频录放
发布时间:2022-11-13
基于FPGA的音频录放 利用Altera公司的DE2-70多媒体开发板和“AUDIO_IF”“SEGS_IF”两个ip模块,通过“MIC IN”“LINE IN”插接口,记录麦克风或者音乐播放器通过线控输入的音频信号,从“LINE OUT”插接口利用耳机收听先前wWw.LWlm.com......
粒子滤波在GPS 动态滤波中的应用摘要
发布时间:2023-01-17
引言 近年来人们对GPS 导航的要求越来越高,对于GPS 滤波理论的研究也越来越多。经典卡尔曼滤波器一直是导航领域滤波研究的主题。但是经典卡尔曼滤波器只是对线性系统和高斯白噪声适用,所以对于非线性非高斯噪声的组合导航系统的......
基于边缘保持滤波的单幅图像快速去雾
发布时间:2023-02-25
摘要:为了解决基于暗通道先验的图像去雾算法运行效率低下的问题以及天空等明亮灰白区域去雾后的色彩失真问题,提出一种基于边缘保持滤波的单幅图像快速去雾算法。首先根据暗通道先验规律,得到粗略的透射率图和大气光估计值;然后用边缘保持滤波算法对粗略透射率滤波得到细节平滑、轮廓清晰的精细透射率图;再用阈值法对灰白明亮区域的透射率修正,之后用边缘保持滤波算法对修正后的透射率进行平滑,得到最终的透射率图。根据估计.........
基于AT89S52的超声波测距系统设计
发布时间:2022-12-13
关键词:单片机;温度补偿;超声波 Design of Ultrasonic Range Finding System Based on AT89S52 AN Yu-lEi (School of Information, Zhuhai Campus, Beijing Institute of Technology, Zhuhai 519085, China) Key words: MCU; t......
基于虚拟仪器的虚拟信号发生器和示波器的实现
发布时间:2023-01-22
基于虚拟仪器的虚拟信号发生器和示波器的实现 摘要:本文介绍了虚拟仪器的基本框架和总体设计思想。在此基础上,利用虚拟仪器开发平台LabVIEW和数据采集卡PC......
基于排气消声器设计相关问题探析
发布时间:2023-02-15
基于排气消声器设计相关问题探析 前言 排气噪声是汽车最重要的噪声源, 用排气消声器控制汽车的排气噪声是切实有效的降噪措施, 其设计水平对汽车的噪声质量指标有很大影响。研究设计消声效果好、功率损失低、结构工艺性合理的排气消声......
KU波段低噪声放大器设计
发布时间:2022-12-16
摘要:本文主要从低噪声扩大器设计理论与低噪声放大器的设计与仿真两面进行了分析,以供参考。 关键词:KU波段低噪声放大器;设计;理论;仿真 中图分类号: S611 文献标识码: A 一、低噪声扩大器设计理论 (一)低噪声扩大......
制度设计在生态文明建设中的“过滤器”功能
发布时间:2023-05-20
摘要:生态文明作为一种更高级的文明形态,植根于农耕文明和现代工业文明的沃土,从人与自然的对立走向人与自然的高度和谐,其价值诉求绝不是单向度的,而是涉及社会生活的方方面面。在全面深化改革开放、加快转变经济发展方式的当下......
探讨基于DSP的BLDCM控制系统设计
发布时间:2015-08-12
摘要:伴随科技的不断发展,直流无刷电机(BLDCM)的应用越来越广泛。针对BLDCM电流换向引起的转矩脉动特点本文研究了一种电流控制器用模糊方式来调节非换相电流进而使换向转矩脉动减少。通过仿真实验表明,采用TMS320LF2407A芯片所设......
基于FPGA的窗口Fourier变换在超声波传播时间检测上的应用
发布时间:2022-11-11
基于FPGA的窗口Fourier变换在超声波传播时间检测上的应用 引言 1 超声波理论介绍 超声波通过超声波换能器发送后,在流体介质中传播。在经过一段传播时间后,到达接收端,并将振动信号转化为电压信号。在超声波传播过程中,信号会被一......
基于微课成本会计课程设计探讨
发布时间:2022-11-13
摘要:在网络时代,随着信息与通迅技术的快速发展,与当前的博客、微博等一样,微课也将具有十分广阔的教育应用前景。微课是一种以微型教学视频为主要载体,针对单个知识点而设计和开展的一种教学活动。它拥有传统教学方法无法比拟的......
复杂环境下基于相关滤波的行人跟踪方法研究
发布时间:2022-10-15
摘要:近几年,基于相关滤波的跟踪方法得到很大的改善,Staple跟踪算法在KCF跟踪方法的基础上引入颜色特征和多尺度检测,使得算法的跟踪准确度得到大的提升,但是因为Staple算法中模型一直更新,目标被遮挡一段时间后,模型不能很好地表达目标行人,导致跟踪效果下降。为此,在该文中,当方向梯度直方图特征模型大于给定的阈值时,仅仅使用梯度方向直方图特征进行跟踪,否则使用颜色特征对此进行补充,同时,颜色特.........
基于AT89C51的简易家用报警器设计
发布时间:2023-02-19
【摘要】基于单片机的家用防盗系统,是以AT89C51单片机为核心,以热释电红外传感器、声音报警器为输入的装置,并附有放大电路,GSM无线模块,液晶显示,供电电路等输出。主芯片采用AT89C51单片机,其具有抗干扰能力强,灵敏度高,成本......
基于dsPIC30F6010的智能伺服控制器设计
发布时间:2023-05-14
【摘要】采用经典的PID控制方法的伺服控制系统,在转动惯量变化后的控制效果不佳,必需通过重新整定控制参数,才可以达到到满意的控制效果;在建立含有转动惯量因素的控制对象模型,对伺服系统受转动惯量变化的影响进行分析;设计基于......
加氢裂化反冲洗过滤器的长周期运行探讨
发布时间:2023-05-15
摘要:在固定床催化剂反应器中,如二氧化硅、金属氧化物、有机物、无机物等颗粒沉积在反应器和催化物上。这些杂质会引起堵塞并导致反应器催化剂床层压差升高,降低了催化剂的活性,这会导致反应器的非正常停工,影响装置长周期运行。......
基于CAD在机械设计中应用的探讨
发布时间:2015-09-10
【摘 要】近些年来,随着科学技术不断发展,CAD技术被广泛应用于机械设计中。同时,随着计算机信息技术迅猛发展,推动了CAD技术迅猛发展,在机械设计中,CAD绘图能力极为强大,特别是CAD软件版本日益更新,绘图方式呈多样化、灵敏性特......
基于单片机的超声波测距系统设计
发布时间:2022-11-18
基于单片机的超声波测距系统设计 众所周http://WwW.LWlM.cOm知,城市轨道交通的检修为了不打扰正常的运营通常放在半夜进行,而在此过程中如要进行一些非接触式的检测项目时,往往由于现场的光线昏暗或是检测条件有限,通常是由检修的老......
降低逆变器传导噪声滤波算法的选择与验证
发布时间:2023-01-13
摘要:军民用逆变器产品在电磁兼容方面都有着严格的要求。传导发射是电磁兼容设计中的重要问题之一。该研究根据对传导噪声和共模电压的特性分析,并对几种滤波算法的实现方式、适用范围以及优缺点进行比较,选择了一种滤波算法,并对该滤波算法的效果进行了验证。关键词:传导噪声共模电压滤波逆变器中图分类号:TM464文献标识码:A文章编号:1672-3791(2015)07(a)-0232-02Abstract:T.........
关于《智能仪器设计》课堂及实践教学探讨
发布时间:2022-12-29
" 论文摘要:《智能仪器设计》是一门融合多项新技术、对实践性要求高的课程,在对《智能仪器设计》课程和实践教学改革中,在课程教学内容组织上,以应用需求组织教学内容,更多地强调仪器智能化方面的知识,及由此涉及到的仪器新观念、......
基于嵌入式Linux的MediaPlayer播放器设计
发布时间:2023-01-28
摘 要:本文研究了一种基于嵌入式Linux操作系统的Media Player播放器。应用GTK+图形开发工具完成播放界面的模块化设计,利用视频解码器来增强对数字视频进行压缩、解压缩的程序或者设备进行处理来实现对媒体格式和传输协议的可拓展能......
基于WebRTC的浏览器端Web服务器的设计与实现
发布时间:2022-12-21
【摘 要】WebRTC具有简单易扩展、开放标准、开源免费的特点。通过介绍利用WebRTC提供的标准API快速开发Web应用,研究了传统Web服务器存在的问题,分析了其存在问题的瓶颈,并实现了基于浏览器的Web服务器。系统地实现WebRTC技术可以为......
关于站段计量器具管理的探讨
发布时间:2022-08-13
1概述 铁路快速发展后,随养生产装备、工艺水平的日益提高,对计量器具提出了更高要求。计量器具的准确与否,直接关系到产品质量、运输安全,因此,保证站段生产和运输用计量器具的配备科学合理,量值准确可靠成为站段计量部门的重要......
基于Visual C++计算器程序设计中CCalculatorDlg类的设计分析
发布时间:2022-10-24
摘 要 在Visual C++环境中进行计算器程序设计的整体思路是:计算器的运行界面相对较为简单,从CDialog类直接派生出计算器对话框类CCalculatorDlg;在IDD_CALCULATOR_DIALOG对话框上添加操作控件,作为人机交互;最后实现CCalculatorD......
基于VHD 的四路抢答器的设计实现
发布时间:2022-11-26
摘要:VHDL 是一种标准的硬件描述语言,是当今电子设计自动化( EDA) 的核心技术,越来越多的硬件设计者使用VHDL描述数字系统。本文通过四路抢答器的设计实例,介绍了利用VHDL设计电路的流程和方法,突出了它与传统的电子电路设计方......
基于CORDIC算法的三相SPWM发生器设计
发布时间:2022-07-23
摘 要: 采用SPWM的三相逆变技术在调速传动、电源转换和电力电子控制领域均有着广泛的应用,为提高三相SPWM产生的效率和精度,在此设计了一种不对称规则采样的三相SPWM发生器。调制正弦信号采用改进型迭代算法CORDIC产生,相对于查表......
基于置信度和非局部自相似滤波的超分辨率重建
发布时间:2023-03-22
摘要:针对低分辨率深度图像在超分辨率重建中出现边缘细节模糊和振铃效应问题,提出了结合同场景彩色高分辨率图像,利用深度图像置信度和非局部自相似滤波的超分辨率重建算法。在联合双边上采样滤波器的基础上,结合深度图像置信度,去噪平滑图像,在非局部自相似滤波中结合同场景彩色图像的特征结构信息进行约束,可以很好减轻振铃效应,重建出高分辨率图像。实验结果表明,本文方法在去噪平滑图像的同时更好地保护边缘细节,避免.........
基于单片机的学习型遥控器设计
发布时间:2015-09-09
【摘 要】从基本原理、软件实现和硬件实现等方面着手设计一种基于STC90C51单片机的学习型红外线遥控器。本设计采用彩屏显示、触摸屏控制,可实现对多个NEC协议红外遥控器发出的红外信号进行学习,可将多个用电器的遥控功能集成在该学......
基于氧化锌压电薄膜材料声表面波传感器的初探
发布时间:2023-05-06
【摘要】本文系统阐述声表面波传感器的工作原理、仪器类型及优点,在此基础上,初步探讨氧化锌声表面波传感器的制作方法及工作原理。 【关键词】声表面波,传感器,氧化锌 近年来,传感器发展迅猛,不断推陈出新,先后出现的半导......
基于地域特征的风景园林设计探讨
发布时间:2022-10-28
摘 要:基于地域特征是古今中外设计风景园林所共同遵循的最基本原则。为使大众更好地理解风景园林设计的有关依据,进一步了解园林设计,文章将从地域特征的气候、场所、背景、文化、社会等若干个方面进行探讨。 关键词:地域特征;......
制导雷达回波信号模拟器设计
发布时间:2015-09-09
【摘 要】 运用雷达信号模拟技术,研制了指令制导舰空导弹武器系统用目标模拟系统。阐述了模拟器的系统结构、收发模块及基于数字储频技术的信号模拟模块硬件设计方法,构建出适用于指令制导武器完整作战过程的雷达信号模拟系统。建立......
基于MQ―2传感器的排烟系统的设计
发布时间:2015-08-07
【摘要】本系统利用MQ-2型烟雾传感器来检测环境中的烟雾浓度,当环境中的烟雾浓度达到一定程度时,风扇启动进行排气,以保证较好的空气质量。电路由烟雾检测电路、测量比较电路、驱动电路及电源电路组成。具有较高的可靠性和一定的实......
压力容器设计压力的可靠性探讨
发布时间:2022-12-20
【摘 要】压力容器的设计原理必须要严格按照国家的相应的规范和标准进行,如果是设计的不合理,这样就不仅仅会影响压力容器产品的制造和检验环节的难易程度,更为重要的是,将会直接关系到压力容器产品的运行稳定性和安全性,为此,本......
出租车智能计价器的设计与实现探讨
发布时间:2023-07-01
0 引言 出租车作为城市交通中独特的交通工具,在城市交通运营中具有不可替代的重要作用。出租车司机最关心计价器的营运数据管理是否方便,而乘客往往最在意出租车计价计费是否合理。为了减少出租车司机和乘客间不必要的误会,设计既能......
基于C8051F007单片机的光照度变送器设计
发布时间:2022-11-17
摘 要 光照是植物生长不可或缺的因素。本文设计的光照度变送器以NH203T光照度传感器和C8051F007单片机为核心元件,具有低功耗、高灵敏度的特点。本文详细介绍了变送器的硬件和软件设计过程,并对变送器的测量精度进行了试验验证。 ......
基于PLC技术的并行定时器设计研究
发布时间:2023-01-22
PLC中自带有定时器,但是一般PLC自带的定时器数量有限,在有有的应用中,较少的定时器数量难以PLC的应用,为此,需要通过外扩定时器来满足PLC应用的需求。在本文的研究中,对基于PLC的外扩256个并行外部定时器进行研究。 【关键词】......
一种基于电力载波的单灯和双灯控制器
发布时间:2022-12-07
摘要:文章设计了单灯和双灯控制器包括MCU主控电路、供电电路、与灯具相对应的控制开关、载波通信电路、PWM输出电路、电压/电流采集电路和过载保护电路。通过对内部电路模块的连接结构进行优化,采用载波通信方式来实现单个灯具或多个......
基于FPGA的报文分类技术研究
发布时间:2023-02-24
引 言 随着快速增长的网络链路速率与分类规则的增多,多维报文分类问题成为设计高速路由器的一个基本挑战。例如,当主干网链路速率达到80Gbps时,在报文长度为40字节时,需要每4ns内处理一个数据报,这个速度用现在的软件算法不可能实......
基于FPGA的DPSD算法实现新方法
发布时间:2022-11-20
摘要:针对当前测井仪器接收电路多通道、小体积、低功耗、高效率的设计要求,提出了一种基于FPGA的DPSD算法实现新方法。该方法采用一种简化的正交DPSD处理方法,方便电路和算法的实现;串行ADC采样数据直接进入运算,无需串并转换,在节省FPGA引脚的同时保证了算法效率;用移位累加操作代替乘法操作,极大地降低了算法对FPGA逻辑资源的消耗。在具体FPGA器件上的实现结果表明,该方法能够在不影响算法效.........
基于教学光盘的教学设计与教学探讨
发布时间:2023-07-18
" 【论文关键词】教学光盘 光盘播放 案例 教学事件 【论文摘要】文章从基于案例的学习的角度分析了教学光盘资源对教师教学设计的支持作用,接着从教学事件的角度阐述了应用教学光盘资源进行教学时应注意的问题和策略。最后文章提出中......
基于人性化的建筑室外景观设计探讨
发布时间:2023-03-27
摘要:生活水平的提升,使得人们对建筑室外景观设计质量有了更高的要求,要求其既可以满足各项基础功能需求的基础上,符合人们审美观念,在整体上营造一个优质的环境。基于人性化件对建筑室外景观进行设计,需要明确人们各项需求,将......
关于计算机网络路由器的应用探讨
发布时间:2022-11-17
路由器作为计算机网络的重要组成部分,为人类的网络共享带来了更加方便、更加自由的时间与场地。其主要对网络信息进行过滤与转发,将两个甚至两个以上的网络进行连接使用,在计算机之间形成有效的网络连接系统,用最快的速度进行信息的......
热力管道波纹补偿器的设计选用浅析
发布时间:2022-12-09
【摘 要】波纹补偿器是热电厂普遍使用的一种补偿设备,这种补偿设备实际上是对热电厂中自补偿的一种补充。因为热电厂的工作环境的影响,需要选择高温性能好的薄壁管,而波纹补偿器是做好的选择。本文首先对波纹补偿器的主要形式的应用......
FPGA在汽车电子设计中的应用
发布时间:2023-02-18
【摘 要】汽车电子设计是现代化汽车设计中的关键,对于汽车在行驶过程中的安全有非常重要的作用,FPGA是数字化的结果,随着FPGA在我国汽车中的使用越来越广泛,因此FPGA在汽车中的应用逐渐受到了人们的重视,本文重点对FPGA在汽车电子......
FPGA硬件系统设计与应用研究
发布时间:2023-03-30
摘 要:FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列。在现代的数字电路系统的设计中,FPGA器件发挥着越来越重要的作用。作为可编程器件的一种,在短短十多年时间里,FPGA逐渐从电力电子设计外围器件演变为数......
一种基于载波聚合的切换方法的设计方案
发布时间:2022-11-15
摘 要: 载波聚合技术是LTE?A中的关键技术,与LTE系统中基站仅支持单一的载波切换相比,LTE?A中需要支持多载波的功能,因此需要一种可以支持多载波切换的方法。从RRC协议的角度出发,提出一种支持切换方案,通过在切换过程中释放源辅......
关于以审计环境为基础构建审计假设的探讨
发布时间:2022-07-24
本文以审计环境为起点,提出审计环境层次论观点,以此为基础论述审计假设体系应当如何建立,并从三个层次提出六条审计假设:审计能够揭露错误和舞弊假设、审计结果公允性假设、公认 会计 标准可执行假设、审计主体可信赖假设和审计风险可......
基于80C51微处理器的OLED模块设计(1)论文
发布时间:2013-12-18
有机发光显示器(LED.htm">OLED)是以有机电致发光材料为基础的新一代平板显示技术,与传统的显示技术相比,OLED具有更薄更轻、主动发光(不需要背光源)、广视角、高清晰、快速响应、低能耗、耐低温和抗震性能优异、潜在的低制造成本及......
基于RFID的医疗器械智能控制系统设计
发布时间:2023-05-04
摘要:设计了一种基于RFID和ARM的医疗器械智能控制系统。采用STM32F107控制MFRC522射频芯片与射频卡进行通信,识别患者身份信息和消费信息,利用USB转换芯片实现单片机与PC机之间的串口通信,可以实现对用户信息和消费信息的调取和存储,对相应患者调取对应的治疗方案,在治疗完成后收取一定的费用,并可根据患者的反馈记录治疗效果。该系统采用模块化和通用化设计方法,可实现多种医疗器械的控制与操.........
电力机车粘着控制中滤波环节的优化设计方法分析
发布时间:2016-10-18
1引言 电力机车的牵引力和制动力依赖于车轮和轨道之间的粘着。机车在遇到雨雪等自然情况下,因轨面潮湿,机车牵引力大于轮轨间可用的粘着力,多余的牵引力将加速车轮形成空转,造成一系列严重损害。粘着控制装置随着自动控制理论的发......
基于关联主义的网络学习环境设计探讨
发布时间:2013-12-18
" [论文关键词] 关联主义;网络学习;学习环境;学习支持 [论文摘要] 在学习环境的创设过程中使用最频繁的三大理论是行为主义、认知主义以及建构主义。每一种理论的出现必然有其时代特征,描述学习原理与过程的学习需求与学习理......
基于波特五力模型分析的企业发展策略探讨
发布时间:2022-08-12
[摘 要] 按照迈克尔·波特的 企业 竞争战略理论,企业竞争优势是由产业结构决定的,是由一个产业中的五种竞争力量(即进入威胁、替代威胁、买方的议价能力、供应方的议价能力和现有竞争对手的竞争)所决定的。要对抗这些竞争力量,企......
关于生态水利工程设计的基本原则探讨
发布时间:2015-09-09
【摘 要】近年来我国生态水利工程发展迅速,加上各类新型技术的应用,已经取得一定的成果。但是从整体上来看,我国水利工程设计并不是完全合理,导致部分流域水资源分配不均,进而产生了许多生态环境问题,降低了水利工程自身功能的发......
基于STC12C5A高精度铁路移频发生器的设计
发布时间:2015-09-10
摘 要 本文描述了利用STC12C5A系列单片机为主控核心和AD9833芯片实现连续相位的移频信号的设计,通过铁路专用移频表的测量和校验,AD9833在单片机的控制下所产生的连续相位的FSK移频信号,误差均在0.1Hz以下,可见该移频信号具有极高......
基于单片机的多用途定时器的设计分析
发布时间:2023-06-20
引言 定时器根据其发展历程可以分为:一种是基于模拟技术的定时器,目前这种产品已经退出市场中;另一种是基于数据技术的产品,其具有强大的产品功能,但是这种产品主要应用于较大的设备中。随着单片机技术的不断发展,基于单片机的多用......
基于调频信号的超声波测距在机器人的应用
发布时间:2022-12-05
摘要:传统的超声波传感器存在受环境因素影响较大、抗干扰能力较差等缺陷,在机器人上的应用受到很大限制,本文在超声波测距上应用了线性调频信号(LFM),用自相关算法对接收信号进行处理,这个方法可以提高超声波测距的抗干扰能力,同时......
谐波对电梯相序继电器的影响与治理探讨
发布时间:2016-10-25
0 引言 城市轨道交通中存在非线性负荷,除牵引整流机组外,还存在大量荧光灯、UPS 电源、变频器及软启动装置等,这些设备产生大量的谐波,使电力系统的正弦波形畸变,电能质量降低。谐波对电力系统的污染日益严重,谐波源的注入使电网......
基于串行通讯的分布式超声波测距仪设计
发布时间:2023-02-16
基于串行通讯的分布式超声波测距仪设计 1相关研究的内容 我们设计一个超声波测距器,如果足够理想的话,将可以应用于汽车倒车、建筑施工工地以及一些工业现场的位置监控,也可用于如液位、井深、管道长度测量、治疗、机器人定位和安......
基于S3C2440 的嵌入式多媒体控制器的设计
发布时间:2013-12-18
基于S3C2440 的嵌入式多媒体控制器的设计 基于S3C2440 的嵌入式多媒体控制器的设计 基于S3C2440 的嵌入式多媒体控制器的设计 论文关键词:嵌入式Linux;MiniGUI;S3C2440;JFFS2 论文摘要:根据当今移动终端设备多功能、低......
基础会计资源建设探讨
发布时间:2022-10-27
摘要:“基础会计”是职业院校财经课程中的一门基础理论课程,文章以“优质”与“多元”为目标,在“基础会计”资源现状的基础上阐述资源建设意义,并对资源建设进行设计,着重建立一个与“基础会计”相吻合的中职实践教育资源体系,将其嵌入校级精品课程、名师工作室、专业群建设等在线平台,并充分拓展其教学功能,建设一个能真正促进会计专业教育质量与学生综合素养的专业资源库,并对资源库的运用形成展望。关键词:基础会计;.........
关于以审计环境为基础构建审计假设的探讨(1)
发布时间:2022-10-14
本文以审计环境为起点,提出审计环境层次论观点,以此为基础论述审计假设体系应当如何建立,并从三个层次提出六条审计假设:审计能够揭露错误和舞弊假设、审计结果公允性假设、公认会计标准可执行假设、审计主体可信赖假设和审计风险可......
基于AD9910的雷达信号模拟器的设计与实现
发布时间:2015-09-09
关键词: DDS; AD9910; 信号模拟器; FPGA Design and implementation of radar signal simulator based on AD9910 Keywords: DDS; AD9910; signal simulator; FPGA 0 引 言 利用DDS能合成各种复杂波形,通过对外部电路进行控......
浅谈基于JAVA 语言的混淆器设计模型研究
发布时间:2016-10-28
随着软件事业的不断发展和应用,产生了很大的利润,因此逐渐出现了很多盗版软件,对软件开发企业的利益造成了很大的损害,也影响了软件产业的健康发展。代码混淆技术是目前保护软件版权的重要技术,它能够混淆转换源程序,产生性能等价......
探讨建筑基础结构设计
发布时间:2023-03-10
摘要:基础应埋入地下一定深度,进入较好的地层。一般将基础底面到室外设计地面的距离,称为埋置深度,简称基础埋深。通常把埋置深度不大,只需经过挖槽、排水等普通施工程序就可以建造起来的基础,称作浅基础。若浅层土质不良,须将......
基于脚底压力信息采集的压力传感器探讨
发布时间:2023-01-11
摘要:根据压力的产生、作用效果,分析不同的压力传感器,选择出较好的采样材料,再从压力传感器的工作特性、适用性等性能考虑,设计出压力传感器的测量电路。文章采用前置放大电路初步处理信号,以差分放大电路调理信号,能采集到比......
基于虚拟仪器技术的飞机供电系统谐波分析
发布时间:2016-10-12
现代飞机的发展,多电技术是一个重要的发展方向,越来越多的飞机关键系统采用电能作为工作能源。而应用电能的电力电子器件及非线性负载的广泛使用,给飞机供电系统造成了大量谐波干扰和波形畸变,严重干扰飞机无线电等航电设备的正常工......
基于上位机的智能小区系统优化设计探讨
发布时间:2015-07-24
摘 要:本文主要分析智能小区基于上位机系统的设计方法,对上位机间接操控、下位机直接控制以及小区内各节点数据的显示三方面进行详尽的阐述,提出了当前环境下小区智能化水平与智能小区环境监测的建议。 关键词:智能小区;上位机......
关于建筑设计的创新探讨
发布时间:2015-09-10
【摘 要】建筑设计是建筑工程项目的重要组成环节,建筑设计质量的优劣不仅关系到建筑质量,更会影响建筑的外观美感和功能性。在当前城市化进程快速发展背景下,建筑工程设计单位应在建筑设计创新方面下功夫,努力探索建筑设计创新的方......
关于总图运输设计的探讨
发布时间:2022-09-05
【摘要】总图运输把研究平面布置、厂址选择作为对象,可以全面反映企业的生产建设综合水平。总图运输技术设计具有弹性、参与性和滚动性特点,跟其他专业密切相关,内容涉及诸多领域,总图运输的设计需要综合各学科知识。如果没有总图......
基于Matlab的压力容器螺栓组联接优化设计
发布时间:2016-09-18
螺栓作为一种机械静连接件,广泛应用于各种机械设备、仪器仪表和日常生活器具中。螺栓组连接的设计计算,主要根据被连接机械设备的载荷大小、功能要求和结构特点,确定螺栓组的个数和布置方式。螺栓组连接的优化设计,可以在保证机械设......
浅谈基于VB的三轴变速器学习界面设计
发布时间:2016-10-27
1概述 1.1三轴变速器的发展现状和趋势 在汽车的后服务市场中,变速器是底盘传动中非常重要的一部分,机械结构比较复杂,拆装难度大,学习难度大,从业人员比较紧缺。而对于汽车专业的在校学生来说,变速器是学习中的重点也是难点。 ......
关于审计实验课程的设计探讨
发布时间:2023-01-09
[ 论文 关键词]审计实验教学 课程设计 实验可操作性 [论文摘要]目前很多高校没有开展审计课程的实验教学。本文首先分析了开展审计课程的实验教学的困难,然后提出通过改造审计实验课程设计来解决实验教学的困难,提高实验教学的......
基于触摸屏和声控的爬步机控制器的设计
发布时间:2023-01-23
基于触摸屏和声控的爬步机控制器的设计 1.引言 随着社会发展,技术进步,触摸屏越来越贴近我们的生活,而在工业产品中的发展趋势越来越人性化,人机交互越来越高级化,传统的按键方式已经不能满足人们的需求,在产品设计中加入触摸屏......
关于住宅建筑设计中的生态设计探讨
发布时间:2019-10-25
摘要:在城市的高速发展中,生态环境污染越来越严重,严重的环境污染不仅危害了人们的生活,还给生态环境带来了恶劣影响,为使这种恶劣情况不在继续发展,就必须加强对生态环境的保护,近年来随着建筑业不断发展,生态建筑已经渐渐的走进了人们的视野里。住宅建筑设计中的生态建筑研究主要顺应自然的发展,将现代的住宅建筑进行生态转变,并且探讨出适合生态设计的可持续发展。关键词:住宅建筑;生态设计;绿色建筑理念在建筑行业.........
基于S7-200 PLC 的ABU参赛机器人控制系统设计
发布时间:2023-01-21
基于S7-200 PLC 的ABU参赛机器人控制系统设计 摘 要: 根据 ABU 参赛机器人的比赛要求,设计出了一套以可编程序控制器( S7- 200 PLC)为控制核心的机器人自动控制系统。介绍了系统主要硬件配置、系统控制方法、S7- 200 程序结构。该系......
基于IETM的武器装备虚拟维修训练系统设计
发布时间:2019-11-15
摘要:为了解决部队当前武器装备训练中出现的一些问题,提出一种基于IETM的武器装备虚拟维修训练系统设计方案,通过引入可共享内容对象模型、交互式三维渲染引擎、基于插件的功能模块集成、基于物元分析的训练效果评估等技术,将IETM、虚拟维修和知识管理融为一体用于武器装备训练。实践结果表明,该方案对于促进IETM在装备维修训练领域的应用,提升我军装备的综合保障能力具有积极的参考价值。关键词:交互式电子技术.........
关于房屋建筑设计中节能设计的探讨
发布时间:2019-10-26
摘要:节能建筑是在保证建筑基本使用功能的基础上,利用节能环保的材料和技术来提高建筑的资源利用率,其中隔热、保温性能等相比以往建筑都有着更好的作用效果,并且建筑设施的能源消耗也大大降低,从环境和节能的效果来看,节能建筑也是建筑未来发展的必然趋势。本文根据笔者工作实践,对房屋建筑应用节能设计的意义、原则及设计方法进行了分析和探讨。关键词:房屋建筑节能设计中图分类号:TE08文献标识码:A建设节能建筑不.........
基于过程改进的电器产品研发项目管理探讨
发布时间:2023-02-08
摘 要:随着新技术发展和全球化趋势的进行,传统的研发项目管理模式已经不能满足企业的需要。对于电器产品而言,随着产品高端化的需求驱动,产品研发项目管理的过程改进越来越迫切。传统的研发项目过程过于简单,产品成本浪费严重,导......
探讨基于RSS技术的信息服务系统设计与实现
发布时间:2023-03-02
又到一年一度的毕业季了,作为一名大学生,论文是必须写的,无论你是本科还是专科,论文都是一件重大的工程。以下是由查字典范文大全为大家.........